Mudanças entre as edições de "Material SSC0113 2012(fosorio)"

De CoteiaWiki
m (Protegeu "Material SSC0113 2012(fosorio)" ([edit=sysop] (indefinido) [move=sysop] (indefinido)) [p. progressiva])
Linha 13: Linha 13:
  
 
<ul>
 
<ul>
<li> AULA 01 </li>
+
<li> AULA 01 - Apresentação (ver cronograma) - Exemplo VHDL </li>
 
<li> AULA 02  </li>
 
<li> AULA 02  </li>
 
</ul>  
 
</ul>  
Linha 19: Linha 19:
 
<br>
 
<br>
 
<ul>
 
<ul>
<li> <b>[http://osorio.wait4.org/SSC0111/ MATERIAL COMPLEMENTAR] de assuntos apresentados em aula</b> </li>
+
<li> <b>[http://osorio.wait4.org/SSC0113/ MATERIAL COMPLEMENTAR] de assuntos apresentados em aula</b> </li>
 
<br>
 
<br>
 
<li> ALDEC VHDL Tutorial </li>
 
<li> ALDEC VHDL Tutorial </li>

Edição das 11h52min de 10 de agosto de 2012

SSC0113 - Elementos de Lógica Digital II (Prática)
Prof. Fernando Santos OSÓRIO (Prática)
Prof. Vanderlei Bonato (Teoria)
Estagiário PAE - Diogo Ortiz Correa
ICMC - SSC


ARQUIVOS: Slides e Informações das Aulas

  • AULA 01 - Apresentação (ver cronograma) - Exemplo VHDL
  • AULA 02




Atualizado em Agosto de 2012
F.Osório

Voltar para SSC-113-2012(fosorio)