Mudanças entre as edições de "Material SSC0113 2012(fosorio)"

De CoteiaWiki
Linha 23: Linha 23:
 
<li> [http://osorio.wait4.org/SSC0113/aldec/ ALDEC VHDL Tutorial] (para usuários da usp - guest) <br>
 
<li> [http://osorio.wait4.org/SSC0113/aldec/ ALDEC VHDL Tutorial] (para usuários da usp - guest) <br>
 
<li> [http://wwwdsa.uqac.ca/~daudet/Cours/Vlsi/DOCUMENTS/repertoire435/logiciels-et-doc/ Aldec VHDL] Link Externo </li>
 
<li> [http://wwwdsa.uqac.ca/~daudet/Cours/Vlsi/DOCUMENTS/repertoire435/logiciels-et-doc/ Aldec VHDL] Link Externo </li>
<li> [http://osorio.wait4.org/SSC0111/DE2-70-Manual.pdf Manual DE2-70] (inclui [[Arquivo:De2-70-Pins.txt | pin assignement]] </li>
+
<li> [http://osorio.wait4.org/SSC0111/DE2-70-Manual.pdf Manual DE2-70] (inclui [Arquivo:De2-70-Pins.txt | pin assignement] </li>
 
</ul>
 
</ul>
 
<br>
 
<br>

Edição das 21h12min de 16 de agosto de 2012

SSC0113 - Elementos de Lógica Digital II (Prática)
Prof. Fernando Santos OSÓRIO (Prática)
Prof. Vanderlei Bonato (Teoria)
Estagiário PAE - Diogo Ortiz Correa
ICMC - SSC


ARQUIVOS: Slides e Informações das Aulas




Atualizado em Agosto de 2012
F.Osório

Voltar para SSC-113-2012(fosorio)