Mudanças entre as edições de "Material SSC0113 2012(fosorio)"

De CoteiaWiki
Linha 14: Linha 14:
 
<ul>
 
<ul>
 
<li> AULA 01 - Apresentação (ver cronograma) - [http://osorio.wait4.org/SSC0113/AULA01/ Exemplo VHDL] </li>
 
<li> AULA 01 - Apresentação (ver cronograma) - [http://osorio.wait4.org/SSC0113/AULA01/ Exemplo VHDL] </li>
<li> AULA 02 - [[Arquivo:SSC0113-Aula02.pdf]] </li>
+
<li> AULA 02 - Circuito Somador: [[Arquivo:SSC0113-Aula02.pdf]] - [http://osorio.wait4.org/SSC0113/AULA02/ Exemplos VHDL] </li>
 
</ul>  
 
</ul>  
  

Edição das 21h14min de 16 de agosto de 2012

SSC0113 - Elementos de Lógica Digital II (Prática)
Prof. Fernando Santos OSÓRIO (Prática)
Prof. Vanderlei Bonato (Teoria)
Estagiário PAE - Diogo Ortiz Correa
ICMC - SSC


ARQUIVOS: Slides e Informações das Aulas




Atualizado em Agosto de 2012
F.Osório

Voltar para SSC-113-2012(fosorio)