Mudanças entre as edições de "Material SSC0113 2012(fosorio)"

De CoteiaWiki
Linha 13: Linha 13:
  
 
<ul>
 
<ul>
<li> AULA 01 - Apresentação (ver cronograma) - [http://osorio.wait4.org/SSC0113/AULA01/ Exemplo VHDL] </li>
+
<li> AULA 01 - Apresentação (ver cronograma) - [http://osorio.wait4.org/SSC0113/AULA01/ Exemplo VHDL] - 
 +
    [http://osorio.wait4.org/SSC0113/VHDL/VHDL-Reference-Brown-Vranesic-Site.txt Site Original] </li>
 
<li> AULA 02 - Circuito Somador: [[Arquivo:SSC0113-Aula02.pdf]] - [http://osorio.wait4.org/SSC0113/AULA02/ Exemplos VHDL] </li>
 
<li> AULA 02 - Circuito Somador: [[Arquivo:SSC0113-Aula02.pdf]] - [http://osorio.wait4.org/SSC0113/AULA02/ Exemplos VHDL] </li>
 
<li> AULA 03 - Circuito Somador(Soluções:[[Arquivo:SSC0113-Aula03.pdf]]) e Contador-7Segmentos - [http://osorio.wait4.org/SSC0113/AULA03/ Exemplos VHDL] </li>
 
<li> AULA 03 - Circuito Somador(Soluções:[[Arquivo:SSC0113-Aula03.pdf]]) e Contador-7Segmentos - [http://osorio.wait4.org/SSC0113/AULA03/ Exemplos VHDL] </li>

Edição das 16h08min de 17 de agosto de 2012

SSC0113 - Elementos de Lógica Digital II (Prática)
Prof. Fernando Santos OSÓRIO (Prática)
Prof. Vanderlei Bonato (Teoria)
Estagiário PAE - Diogo Ortiz Correa
ICMC - SSC


ARQUIVOS: Slides e Informações das Aulas




Atualizado em Agosto de 2012
F.Osório

Voltar para SSC-113-2012(fosorio)