Mudanças entre as edições de "Material SSC0113 2012(fosorio)"

De CoteiaWiki
Linha 16: Linha 16:
 
<li> AULA 02 - Circuito Somador: [[Arquivo:SSC0113-Aula02.pdf]] - [http://osorio.wait4.org/SSC0113/AULA02/ Exemplos VHDL] </li>
 
<li> AULA 02 - Circuito Somador: [[Arquivo:SSC0113-Aula02.pdf]] - [http://osorio.wait4.org/SSC0113/AULA02/ Exemplos VHDL] </li>
 
<li> AULA 03 - Circuito Somador(Soluções:[[Arquivo:SSC0113-Aula03.pdf]]) e Contador-7Segmentos - [http://osorio.wait4.org/SSC0113/AULA03/ Exemplos VHDL] </li>
 
<li> AULA 03 - Circuito Somador(Soluções:[[Arquivo:SSC0113-Aula03.pdf]]) e Contador-7Segmentos - [http://osorio.wait4.org/SSC0113/AULA03/ Exemplos VHDL] </li>
<li> AULA 04 - Máquinas de Estados: [[Arquivo:SSC0113-Aula04.pdf]] - [http://osorio.wait4.org/SSC0113/AULA04/ Exemplos VHDL] </li>
+
<li> AULA 04 - Máquinas de Estados: [[Arquivo:SSC0113-Aula04.pdf]] - [http://osorio.wait4.org/SSC0113/AULA04/ Exemplos VHDL] <br>
 +
Exemplos de Máquinas de Estado: [[Arquivo:SSC0113-Aula04-Exemplos.pdf]] - [http://osorio.wait4.org/SSC0113/AULA04/FSM-Exercicios.pdf Exercícios FSM] </li>
 
</ul>  
 
</ul>  
  

Edição das 15h01min de 26 de agosto de 2012

SSC0113 - Elementos de Lógica Digital II (Prática)
Prof. Fernando Santos OSÓRIO (Prática)
Prof. Vanderlei Bonato (Teoria)
Estagiário PAE - Diogo Ortiz Correa
ICMC - SSC


ARQUIVOS: Slides e Informações das Aulas




Atualizado em Agosto de 2012
F.Osório

Voltar para SSC-113-2012(fosorio)