Mudanças entre as edições de "Material SSC0113 2012(fosorio)"

De CoteiaWiki
 
(21 revisões intermediárias pelo mesmo usuário não estão sendo mostradas)
Linha 13: Linha 13:
  
 
<ul>
 
<ul>
<li> AULA 01 - Apresentação (ver cronograma) - Exemplo VHDL </li>
+
<li> AULA 01 - Apresentação (ver cronograma) - [http://osorio.wait4.org/SSC0113/AULA01/ Exemplo VHDL] </li>
<li> AULA 02  </li>
+
<li> AULA 02 - Circuito Somador: [[Arquivo:SSC0113-Aula02.pdf]] - [http://osorio.wait4.org/SSC0113/AULA02/ Exemplos VHDL] </li>
 +
<li> AULA 03 - Circuito Somador(Soluções:[[Arquivo:SSC0113-Aula03.pdf]]) e Contador-7Segmentos - [http://osorio.wait4.org/SSC0113/AULA03/ Exemplos VHDL] </li>
 +
<li> AULA 04 - Máquinas de Estados: [[Arquivo:SSC0113-Aula04.pdf]] - [http://osorio.wait4.org/SSC0113/AULA04/ Exemplos VHDL] <br>
 +
  Exemplos de Máquinas de Estado: [[Arquivo:SSC0113-Aula04-Exemplos.pdf]] - [http://osorio.wait4.org/SSC0113/AULA04/FSM-Exercicios.pdf Exercícios FSM] </li>
 +
<li> AULA 05 - Circuitos Compostos (Teclado) [[Arquivo:SSC0113-Aula05.pdf]] - [http://osorio.wait4.org/SSC0113/AULA05/ Exemplos VHDL] </li>
 +
<li> AULA 06 - Feriado/Sem Aula (Semana da Pátria) </li>
 +
<li> AULA 07 - Circuitos Complementares (LCD) Arquivo:SSC0113-Aula07.pdf - [http://osorio.wait4.org/SSC0113/AULA07/ Exemplos VHDL] </li>
 
</ul>  
 
</ul>  
  
Linha 21: Linha 27:
 
<li> <b>[http://osorio.wait4.org/SSC0113/ MATERIAL COMPLEMENTAR] de assuntos apresentados em aula</b> </li>
 
<li> <b>[http://osorio.wait4.org/SSC0113/ MATERIAL COMPLEMENTAR] de assuntos apresentados em aula</b> </li>
 
<br>
 
<br>
<li> ALDEC VHDL Tutorial </li>
+
<li> [http://osorio.wait4.org/SSC0113/VHDL/VHDL-Reference-Brown-Vranesic.pdf VHDL Reference (Livro B&V)]
 +
    - ( [http://osorio.wait4.org/SSC0113/VHDL/VHDL-Reference-Brown-Vranesic-Site.txt Site Original] )</li>
 +
<li> [http://osorio.wait4.org/SSC0113/aldec/ ALDEC VHDL Tutorial] (para usuários da usp - guest) <br>
 +
<li> [http://wwwdsa.uqac.ca/~daudet/Cours/Vlsi/DOCUMENTS/repertoire435/logiciels-et-doc/ Aldec VHDL] Link Externo </li>
 +
<li> [http://osorio.wait4.org/SSC0111/DE2-70-Manual.pdf Manual DE2-70] (inclui pin assignement - [[Arquivo:De2-70-Pins.txt]] </li>
 
</ul>
 
</ul>
 
<br>
 
<br>

Edição atual tal como às 12h43min de 14 de setembro de 2012

SSC0113 - Elementos de Lógica Digital II (Prática)
Prof. Fernando Santos OSÓRIO (Prática)
Prof. Vanderlei Bonato (Teoria)
Estagiário PAE - Diogo Ortiz Correa
ICMC - SSC


ARQUIVOS: Slides e Informações das Aulas




Atualizado em Agosto de 2012
F.Osório

Voltar para SSC-113-2012(fosorio)