Mudanças entre as edições de "TrabPrat SSC0113 2012(fosorio)"

De CoteiaWiki
(Criou página com '<center> <h3> SSC0113 - Laboratório de Elementos de Lógica Digital II <br> Prof. [http://www.icmc.usp.br/~fosorio/ Fernando Santos OSÓRIO] (Prática) <br> Prof. Vanderlei B...')
 
 
(4 revisões intermediárias pelo mesmo usuário não estão sendo mostradas)
Linha 13: Linha 13:
  
 
<ul>
 
<ul>
<li> [[Arquivo:SSC0113-TrabPratico01-2012.pdf]] - Trabalho Prático 01 </li>
+
<li> [[Arquivo:SSC0113-TrabPratico01-2012.pdf]] - Trabalho Prático 01 <br>
 +
    Lembrete: O trabalho deve ser feito usando VHDL, FSM, Teclado e Leds/Display/VGA </li>
 +
<li> [[Arquivo:SSC0113-TrabPratico02-2012.txt]] - [[SSC0113_Trabalho_Prático_2012_02 | Trabalho Prático 02]]  <br>
 +
    Tema: Processador em VHDL com extensões (Interrupção e/ou Multi-Core e/ou Jogo "Genius/Simon" no processador) </li>
 
</ul>
 
</ul>
 +
<br>
 
<hr>
 
<hr>
 
<hr>
 
<hr>

Edição atual tal como às 16h46min de 6 de novembro de 2012

SSC0113 - Laboratório de Elementos de Lógica Digital II
Prof. Fernando Santos OSÓRIO (Prática)
Prof. Vanderlei Bonato (Teoria)
Estagiário PAE - Diogo Ortiz Correa
ICMC - SSC


TRABALHOS PRÁTICOS:




Atualizado em Setembro de 2012
F.Osório

Voltar para SSC-113-2012(fosorio)