Mudanças entre as edições de "TrabPrat SSC0113 2012(fosorio)"

De CoteiaWiki
 
Linha 15: Linha 15:
 
<li> [[Arquivo:SSC0113-TrabPratico01-2012.pdf]] - Trabalho Prático 01 <br>
 
<li> [[Arquivo:SSC0113-TrabPratico01-2012.pdf]] - Trabalho Prático 01 <br>
 
     Lembrete: O trabalho deve ser feito usando VHDL, FSM, Teclado e Leds/Display/VGA </li>
 
     Lembrete: O trabalho deve ser feito usando VHDL, FSM, Teclado e Leds/Display/VGA </li>
<li> [[Arquivo:SSC0113-TrabPratico02-2012.txt]] - [SSC0113_Trabalho_Prático_2012_02] <br>
+
<li> [[Arquivo:SSC0113-TrabPratico02-2012.txt]] - [[SSC0113_Trabalho_Prático_2012_02 | Trabalho Prático 02]<br>
 
     Tema: Processador em VHDL com extensões (Interrupção e/ou Multi-Core e/ou Jogo "Genius/Simon" no processador) </li>
 
     Tema: Processador em VHDL com extensões (Interrupção e/ou Multi-Core e/ou Jogo "Genius/Simon" no processador) </li>
 
</ul>
 
</ul>

Edição atual tal como às 16h46min de 6 de novembro de 2012

SSC0113 - Laboratório de Elementos de Lógica Digital II
Prof. Fernando Santos OSÓRIO (Prática)
Prof. Vanderlei Bonato (Teoria)
Estagiário PAE - Diogo Ortiz Correa
ICMC - SSC


TRABALHOS PRÁTICOS:




Atualizado em Setembro de 2012
F.Osório

Voltar para SSC-113-2012(fosorio)